玩转FPGA,你需做到这四点!

掌握FPGA可以找到一份很好的工作,对于有经验的工作人员,使用FPGA可以让设计变得非常有灵活性。掌握了FPGA设计,单板硬件设计就非常容易(不是系统设计),特别是上大学时如同天书的逻辑时序图,看起来就非常亲切。但FPGA入门却有一定难度,因为它不像软件设计那样只要有一台计算机,几乎就可以完成所有的设计。

下面总结的四点入门必备基础,希望能对大家在玩转FPGA上有一点帮助。

1、要了解什么是FPGA

既然要玩转FPGA,那我们首先最重要的当然是要了解什么是FPGA。FPGA(Field-Programmable Gate Array),即现场可编程门阵列。看到编程两个字码农就笑了,不就是编程嘛,那可是我们的强项。且慢,此编程非彼编程。一定要把FPGA的编程和软件编程区分开来。

软件的编程,处理器会逐条的把语言翻译成各种控制信号,去控制内部电路完成一个个运算或操作。那么FPGA的编程是怎么实现的呢?无论Altera家还是Xlinix家的FPGA,基本单元都相似,都是由真值表和D触发器构成。改变真值表的值就改变了逻辑功能,再通过和D触发器组合来实现任何时序逻辑。

所以我们对FPGA的编程,实际上就是去修改这些真值表和连接关系,使他们组成一张专门的真值表,去实现特定的功能。这和软件编程一步步运行程序有本质的区别。要想玩转FPGA,就必须理解FPGA内部的工作原理,学习如何利用这些单元实现复杂的逻辑设计。

2、正确理解HDL语言

HDL(Hardware Description Language),硬件描述语言。通过名称我们能看出来,HDL语言是一种“描述”语言,这一点和C语言是有本质区别的。正确理解描述的含义,对学好HDL语言很有帮助。HDL语言只是用文本的方式把硬件电路描述出来。我们在阅读HDL程序的时候,在脑子里应该能反映出一个完整的硬件电路结构。

从另一方面说,我们在编写HDL语言之前,就已经对要实现的电路有清晰的概念。所以HDL语言只是一个描述我们头脑中具体电路的工具,玩转FPGA的根本不是语言而是逻辑电路设计。所以不要再纠结于我应该学习VHDL还是Verilog、哪种语言更好学等这些问题。

如果把学习FPGA的重点放在学习语言上,死记硬背一些语法,那自然是抓错了重点。语言在日常使用中会越用越熟练,不需要花很长的专门时间去学习。当然,准备一本好的参考资料可以随时方便查询会是很有帮助的。

3、数字电路基础

说到底,FPGA就是一堆数字逻辑组合在一起实现特定功能而已,所以数字电路基础知识是根本。如果你连触发器、组合电路、时序电路、竞争、毛刺等基本概念还不清不楚的话,那玩转FPGA只能是痴人说梦了。

我们必须要好好的学好数字电路基础这门课,基本的数字电路要烂熟于心。把这些基础打牢固,再往更深的方向发展。什么时候能够从抽象的算法中提炼算法的结构,再分解成具体的模块并通过硬件电路实现出来,这时候就算从菜鸟级别步入老鸟级别了。

4、硬件设计思想

这一点应该说是重中之重。学习FPGA,一定要有硬件设计思想。在软件编程的时候,比如1秒钟实现了5次乘法运算,那系统要求1秒钟实现50次乘法运算怎么办?我们就要应尽可能地优化代码,让代码更简洁、更高效,或者提高系统主频,让系统跑得更快。

但是在FPGA里面,我们不是这种思维方式。在FPGA里实现一个乘法器不够用,那我就实现两个、实现三个去满足系统要求;我可以进行流水线设计;串行运行方式不够快的话,我可以先串并转换,再并行地做处理……只要FPGA的资源够用,我就可以充分利用资源去满足系统要求。因为在我手里就是一堆硬件资源,我要做的是把它们组合成一个好用的电路。评价硬件描述语言写得好坏的标准和其他软件编程语言的标准是完全不同的。因此一定要摒弃软件编程的一些固有思路,学会用硬件的方式去解决问题。

要时刻提醒自己正在设计的是一个电路,而不是一行行空洞的代码。这是很多做软件编程的人很难跨过的坎。FPGA学了很久,却还在纠结到底是用if_else语句好还是用case语句好,而不能透过这些语句表面看到它们所具体代表的电路。只有建立了硬件设计思想,才有更深入学习FPGA的可能。

FPGA入门简单精通难。要想入门,买一块开发板跟着例程走一遍,很多人都能在很短的时间内熟悉开发软件的操作方法,并且点亮开发板上的LED或者再实现个跑马灯什么的。但是再往后进步往往就进展很慢。

上面提到的这四条是玩转FPGA的基础,只有打好了坚实的基础,后面才能一马平川。

本文转载自:电子发烧友网
免责声明:本文为转载文章,转载此文目的在于传递更多信息,版权归原作者所有。本文所用视频、图片、文字如涉及作品版权问题,请联系小编进行处理。

点击这里,获取更多关于应用和技术的有关信息
点击这里,获取更多工程师博客的有关信息

最新文章